2009-08-05から1日間の記事一覧

カウンタとコンパレータ

velilog HDL でカウンタとコンパレータが掛ければよさげ。 どちらも例題レベルのはず。

静電容量センサ

ChaNさんの汎用ポートによる静電容量センサの追試をしてみたい。 高速でないといけないからだろう、アセンブラで実装されている。 C言語で実装すると間に合わないのか?試してみたい。 また、多点のセンサを実現するには FPGA のほうが適している気がする。 …

3軸加速度センサと2軸ジャイロセンサ

改めて秋月のすごさを思い知った。気が付くとこれらのセンサを \1000+\1200 で買えてしまう!これらを生かすには、やはり走行体(又は飛翔体!)に乗せて姿勢制御だろう。(2足ロボットでもいいけど。。。) ちゃっちゃと低速でもいいから卓上走行体(マイク…